Perbezaan Antara untuk Gelung dan setiap Gelung

Isi kandungan:

Perbezaan Antara untuk Gelung dan setiap Gelung
Perbezaan Antara untuk Gelung dan setiap Gelung

Video: Perbezaan Antara untuk Gelung dan setiap Gelung

Video: Perbezaan Antara untuk Gelung dan setiap Gelung
Video: maksud berbilang tepi dan gelung pada graf bab rangkaian dalam teori graf 2024, Julai
Anonim

Perbezaan Utama – untuk Loop vs foreach Loop

Kedua-dua untuk gelung dan gelung foreach ialah struktur kawalan yang digunakan untuk mengulang blok pernyataan. Terdapat struktur kawalan ulangan dalam pengaturcaraan untuk melaksanakan blok pernyataan lagi dan lagi. Satu struktur kawalan biasa adalah untuk gelung. A for loop ialah struktur aliran kawalan yang digunakan untuk lelaran yang membolehkan kod dilaksanakan berulang kali. Ia mengandungi permulaan, ungkapan ujian dan ungkapan kemas kini. Pernyataan untuk diulang disertakan dalam pendakap kerinting. Gelung foreach diperbaiki untuk gelung. Ia meningkatkan kebolehbacaan kod, dan ia mudah untuk ditulis. Kedua-dua untuk gelung dan gelung foreach digunakan untuk mengulang set pernyataan, tetapi sintaksnya berbeza. Perbezaan utama antara untuk Gelung dan gelung foreach ialah gelung for ialah struktur kawalan tujuan umum manakala gelung foreach ialah gelung untuk dipertingkat yang hanya terpakai pada tatasusunan dan koleksi.

Apakah untuk Gelung?

Gelung for ialah struktur ulangan biasa. Ia membantu untuk mengulangi melalui pernyataan atau satu set pernyataan dalam program. Sintaks gelung for adalah seperti berikut.

for(initialization; ekspresi ujian; ekspresi kemas kini){

// kod di dalam gelung untuk

}

Pemulaan berlaku dahulu. Kemudian ungkapan ujian diperiksa. Jika jawapan yang dinilai adalah benar, kod di dalam gelung for akan dilaksanakan. Pada penghujung pernyataan terakhir bagi gelung for, ungkapan kemas kini dinilai. Kemudian ungkapan ujian dinilai semula. Jika ia benar, kod di dalam gelung for dilaksanakan. Pada penghujung gelung untuk, ungkapan kemas kini dinilai semula dan disemak dengan ungkapan ujian. Proses ini berulang sehingga ungkapan ujian menjadi palsu. Apabila ia menjadi palsu, gelung for ditamatkan.

Perbezaan Antara untuk Loop dan foreach Loop
Perbezaan Antara untuk Loop dan foreach Loop

Rajah 01: Program dengan gelung for dan gelung foreach

Mengikut atur cara di atas, tatasusunan1 boleh menyimpan berbilang elemen jenis integer. Dalam gelung for, i ialah 0. Ia kurang daripada 5. Jadi, elemen indeks ke-0 tatasusunan1 dicetak. Ia adalah nombor 10. Kemudian i ditambah kerana ungkapan kemas kini. Sekarang nilai i ialah 1. Ia kurang daripada 5. Jadi, elemen indeks ke-1 tatasusunan1 dicetak. Sekali lagi i bertambah. Proses ini berterusan. Apabila nilai i menjadi 5, ungkapan ujian adalah palsu kerana ia tidak kurang daripada 5. Jadi, gelung ditamatkan.

Apakah itu untuk setiap Gelung?

Gelung foreach ialah cara mudah untuk mendapatkan semula elemen tatasusunan atau koleksi. Ia boleh digunakan sebagai alternatif kepada untuk gelung. Ia dirujuk sebagai gelung foreach kerana ia berulang melalui setiap elemen tatasusunan atau koleksi. Sintaks gelung foreach adalah seperti berikut.

untuk(item jenis data: koleksi){

//kod di dalam untuk setiap gelung

}

Koleksi ialah tatasusunan atau koleksi yang harus diulangi. Item tersebut ialah elemen tunggal daripada koleksi. Gelung foreach berulang melalui setiap elemen dan menyimpan elemen tersebut dalam item pembolehubah. Kemudian laksanakan pernyataan di dalam gelung foreach.

Mengikut atur cara di atas, tatasusunan1 menyimpan berbilang integer. Gelung untuk dipertingkatkan digunakan untuk melelaran melalui elemen tatasusunan. Setiap elemen disimpan ke pembolehubah i dan kod di dalam gelung foreach dilaksanakan. Gelung foreach mencapai tugas yang sama seperti gelung for, tetapi ia lebih mudah dibaca dan mudah ditulis. Oleh itu, ia dikenali sebagai 'dipertingkatkan untuk gelung'.

Apakah Persamaan Antara untuk Gelung dan untuk setiap Gelung?

Kedua-dua untuk gelung dan gelung foreach boleh digunakan untuk melaksanakan set pernyataan berbilang kali

Apakah Perbezaan Antara untuk gelung dan untuk setiap Gelung?

untuk Loop vs foreach Loop

Gelung for ialah struktur kawalan untuk menentukan lelaran yang membenarkan kod dilaksanakan berulang kali. Gelung foreach ialah struktur kawalan untuk melintasi item dalam tatasusunan atau koleksi.
Mendapatkan Elemen
A untuk gelung boleh digunakan untuk mendapatkan set elemen tertentu. Gelung foreach tidak boleh digunakan untuk mendapatkan set elemen tertentu.
Kebolehbacaan
Gelung for lebih sukar dibaca dan ditulis berbanding gelung foreach. Gelung foreach lebih mudah dibaca dan ditulis berbanding gelung for.
Penggunaan
Gelung for digunakan sebagai gelung tujuan umum. Gelung foreach digunakan untuk tatasusunan dan koleksi.

Ringkasan – untuk Loop vs foreach Loop

Dalam pengaturcaraan, kadangkala perlu mengulang kod. Gelung for biasanya digunakan untuk mencapai tugas ini. A for loop ialah struktur aliran kawalan yang digunakan untuk lelaran yang membolehkan kod dilaksanakan berulang kali. Gelung foreach dipertingkatkan untuk gelung yang mudah dibaca dan ditulis. Perbezaan antara untuk Gelung dan gelung foreach ialah gelung for ialah struktur kawalan tujuan umum manakala gelung foreach ialah gelung untuk dipertingkat yang hanya terpakai pada tatasusunan dan koleksi.

Disyorkan: